Part Number Hot Search : 
2SK2834 L7104GD M41T62Q KS57C AD827 60125 IRF530 AD104
Product Description
Full Text Search
 

To Download ZU15EG Datasheet File

  If you can't view the Datasheet, Please click here to try to view without PDF Reader .  
 
 


  Datasheet File OCR Text:
  ds891 (v1.3) september 23, 2016 www.xilinx.com advance product specification 1 ? copyright 2015?2016 xilinx, inc. xi linx, the xilinx logo, artix, ise, kintex, spartan, virtex, vivado, zynq, and other design ated brands included herein are trademarks of xilinx in the united states and other coun tries. amba, amba designer, arm, arm1176jz- s, coresight, cortex, and primecell are tr ademarks of arm in the eu and other countries. pci, pcie, and pci express are trad emarks of pci-sig and are used under lice nse. all other trademarks are the propert y of their respective owners. general description the zynq? ultrascale+? mpsoc family is based on the xilinx? ultrascale? mpsoc architecture. this family of products integrates a feature-rich 64 -bit quad-core or dual-core arm? cortex?-a53 and dual-core arm cortex-r5 based processing system (p s) and xilinx programmable logic (pl) ultrascale architecture in a single device. also included are on-chip memory, multiport external memory interfaces, and a rich set of peripheral connectivity interfaces. processing system (ps) arm cortex-a53 based application processing unit (apu) ? quad-core or dual-core ? cpu frequency: up to 1.5ghz ? extendable cache coherency ? armv8-a architecture o 64-bit or 32-bit operating modes o trustzone security o a64 instruction set in 64-bit mode, a32/t32 instruction set in 32-bit mode ? neon advanced simd media-processing engine ? single/double precision floating point unit (fpu) ? coresight? and embedded trace macrocell (etm) ? accelerator coherency port (acp) ? axi coherency extension (ace) ? power island gating for each processor core ? timer and interrupts o arm generic timers support o two system level tr iple-timer counters o one watchdog timer o one global system timer ? caches o 32kb level 1, 2-way set-associative instruction cache with parity (independent for each cpu) o 32kb level 1, 4-way set-associative data cache with ecc (independent for each cpu) o 1mb 16-way set-associative level 2 cache with ecc (shared between the cpus) dual-core arm cortex-r5 based real-time processing unit (rpu) ? cpu frequency: up to 600mhz ? armv7-r architecture o a32/t32 instruction set ? single/double precision floating point unit (fpu) ? coresight? and embedded trace macrocell (etm) ? lock-step or independent operation ? timer and interrupts: o one watchdog timer o two triple-timer counters ? caches and tightly coupled memories (tcms) o 32kb level 1, 4-way set-associative instruction and data cache with ecc (independent for each cpu) o 128kb tcm with ecc (independent for each cpu) that can be combined to become 256kb in lockstep mode on-chip memory ? 256kb on-chip ram (ocm) in ps with ecc ? up to 36mb on-chip ram (ultraram) with ecc in pl ? up to 35mb on-chip ram (block ram) with ecc in pl ? up to 11mb on-chip ram (distributed ram) in pl zynq ultrascale+ mpsoc overview ds891 (v1.3) september 23, 2016 advance product specification
zynq ultrascale+ mpsoc overview ds891 (v1.3) september 23, 2016 www.xilinx.com advance product specification 2 arm mali-400 based gpu ? supports opengl es 1.1 and 2.0 ? supports openvg 1.1 ? gpu frequency: up to 667mhz ? single geometry processo r, two pixel processors ? pixel fill rate: 2 mpixels/sec/mhz ? triangle rate: 0.11 mtriangles/sec/mhz ? 64kb l2 cache ? power island gating external memory interfaces ? multi-protocol dynamic memory controller ? 32-bit or 64-bit interfaces to ddr4, ddr3, ddr3l, or lpddr3 memo ries, and 32-bit interface to lpddr4 memory ? ecc support in 64-bit and 32-bit modes ? up to 32gb of address space using single or dual rank of 8-, 16-, or 32-bit-wide memories ? static memory interfaces o emmc4.51 managed nand flash support o onfi3.1 nand flash with 24-bit ecc o 1-bit spi, 2-bit spi, 4-bit spi (quad-spi), or two quad-spi (8-bit) serial nor flash 8-channel dma controller ? two dma controllers of 8-channels each ? memory-to-memory, memory-to-peripheral, peripheral-to-memory, and scatter-gather transaction support serial transceivers ? four dedicated ps-gtr receivers and transmitters supports up to 6.0gb/s data rates o supports sgmii tri-speed ethernet, pci express? gen2, serial-ata (sata), usb3.0, and displayport dedicated i/o peripherals and interfaces ? pci express ? compliant with pcie? 2.1 base specification o root complex and end point configurations o x1, x2, and x4 at ge n1 or gen2 rates ? sata host o 1.5, 3.0, and 6.0gb/s data rates as defined by sata specificatio n, revision 3.1 o supports up to two channels ? displayport controller o up to 5.4gb/s rate o up to two tx lanes (no rx support) ? four 10/100/1000 tri-speed ethernet mac peripherals with ieee std 802.3 and ieee std 1588 revision 2.0 support o scatter-gather dma capability o recognition of ieee st d 1588 rev.2 ptp frames o gmii, rgmii, and sgmii interfaces o jumbo frames ? two usb 3.0/2.0 device, host, or otg peripherals, each supporting up to 12 endpoints o usb 3.0/2.0 compliant device ip core o super-speed, high- speed, full-speed, and low-speed modes o intel xhci- compliant usb host ? two full can 2.0b-compliant can bus interfaces o can 2.0-a and can 2.0-b and iso 118981-1 standard compliant ? two sd/sdio 2.0/emmc4.51 compliant controllers ? two full-duplex spi ports with three peripheral chip selects ? two high-speed uarts (up to 1mb/s) ? two master and slave i2c interfaces ? up to 78 flexible mult iplexed i/o (mio) (up to three banks of 26 i/os) for peripheral pin assignment ? up to 96 emios (up to three banks of 32 i/os) connected to the pl interconnect ? high-bandwidth connectivity within ps and between ps and pl ? arm amba? axi4-based ? qos support for latency and bandwidth control ? cache coherent interconnect (cci) system memory management ? system memory management unit (smmu) ? xilinx memory protection unit (xmpu) platform management unit ? power gates ps peripherals, power islands, and power domains ? clock gates ps peripheral user firmware option configuration and security unit ? boots ps and configures pl ? supports secure and no n-secure boot modes system monitor in ps ? on-chip voltage and temperature sensing
zynq ultrascale+ mpsoc overview ds891 (v1.3) september 23, 2016 www.xilinx.com advance product specification 3 programmable logic (pl) configurable logic blocks (clb) ? look-up tables (lut) ? flip-flops ? cascadable adders 36kb block ram ? true dual-port ? up to 72 bits wide ? configurable as dual 18kb ultraram ? 288kb dual-port ? 72 bits wide ? error checking and correction dsp blocks ? 27 x 18 signed multiply ? 48-bit adder/accumulator ? 27-bit pre-adder programmable i/o blocks ? supports lvcmos, lvds, and sstl ? 1.0v to 3.3v i/o ? programmable i/o delay and serdes jtag boundary-scan ? ieee std 1149.1 compatible test interface pci express ? supports root complex and end point configurations ? supports up to gen4 speeds ? up to five integrated bl ocks in select devices 100g ethernet mac/pcs ? ieee std 802.3 compliant ? caui-10 (10x 10.3125gb/s) or caui-4 (4x 25.78125gb/s) ? rsfec (ieee std 802.3bj) in caui-4 configuration ? up to four integrated bl ocks in select devices interlaken ? interlaken spec 1.2 compliant ? 64/67 encoding ? 12 x 12.5gb/s or 6 x 25gb/s ? up to four integrated bl ocks in select devices video encoder/decoder (vcu) ? available in ev devices ? accessible from either ps or pl ? simultaneous encode and decode ? h.264 and h.265 support system monitor in pl ? on-chip voltage and temperature sensing ? 10-bit 200ksps adc with up to 17 external inputs
zynq ultrascale+ mpsoc overview ds891 (v1.3) september 23, 2016 www.xilinx.com advance product specification 4 feature summary table 1: zynq ultrascale+ mpsoc: cg device feature summary zu2cg zu3cg zu4cg zu5cg zu6cg zu7cg zu9cg application processing unit dual-core arm cortex-a53 mpcore wi th coresight; neon & single/double precision floating point; 32kb/32kb l1 cache, 1mb l2 cache real-time processing unit dual-core arm cortex-r5 with coresight; single/double precision floating point; 32kb/32kb l1 cache, and tcm embedded and external memory 256kb on-chip memory w/ecc; external ddr4; ddr3; ddr3l; lpddr4; lpddr3; external quad-spi; nand; emmc general connectivity 214 ps i/o; uart; can; usb 2.0; i2c; spi; 32b gpio; real time clock; watchdog timers; triple timer counters high-speed connectivity 4 ps-gtr; pcie gen1/2; serial ata 3.1; displayport 1.2a; usb 3.0; sgmii system logic cells 103,320 154,350 192,150 256,200 469,446 504,000 599,550 clb flip-flops 94,464 141,120 175,680 234,240 429,208 460,800 548,160 clb luts 47,232 70,560 87,840 117,120 214,604 230,400 274,080 distributed ram (mb) 1. 2 1.8 2.6 3.5 6.9 6.2 8.8 block ram blocks 150 216 128 144 714 312 912 block ram (mb) 5.3 7.6 4.5 5.1 25.1 11.0 32.1 ultraram blocks 0 0 48 64 0 96 0 ultraram (mb) 0 0 14.0 18.0 0 27.0 0 dsp slices 240 360 728 1,056 1,973 1,728 2,520 cmts 3344484 max. hp i/o (1) 156 156 156 156 208 416 208 max. hd i/o (2) 96 96 96 96 120 48 120 system monitor 2222222 gth transceiver 16.3gb/s (3) 0 0 16 16 24 24 24 gty transceivers 32.75gb/s0000000 transceiver fractional plls0088121212 pcie gen3 x16 and gen4 x80022020 150g interlaken 0000000 100g ethernet w/ rs-fec0000000 notes: 1. hp = high-performance i/o with support for i/o voltage fr om 1.0v to 1.8v. 2. hd = high-density i/o with suppor t for i/o voltage from 1.2v to 3.3v. 3. gth transceivers in the sfvc784 package support data rates up to 12.5gb/s. see table 2 .
zynq ultrascale+ mpsoc overview ds891 (v1.3) september 23, 2016 www.xilinx.com advance product specification 5 table 2: zynq ultrascale+ mpsoc: cg device-pac kage combinations and maximum i/os package (1)(2)(3)(4)(5) package dimensions (mm) zu2cg zu3cg zu4cg zu5 cg zu6cg zu7cg zu9cg hd, hp gth, gty hd, hp gth, gty hd, hp gth, gty hd, hp gth, gty hd, hp gth, gty hd, hp gth, gty hd, hp gth, gty sbva484 (6) 19x19 24, 58 0, 0 24, 58 0, 0 sfva625 21x21 24, 156 0, 0 24, 156 0, 0 sfvc784 (7) 23x23 96, 156 0, 0 96, 156 0, 0 96, 156 4, 0 96, 156 4, 0 fbvb900 31x31 48, 156 16, 0 48, 156 16, 0 48, 156 16, 0 ffvc900 31x31 48, 156 16, 0 48, 156 16, 0 ffvb1156 35x35 120, 208 24, 0 120, 208 24, 0 ffvc1156 35x35 48, 312 20, 0 ffvf1517 40x40 48, 416 24, 0 notes: 1. go to ordering information for package designation details. 2. fb/ff packages have 1.0mm ball pitch. sb/sf packages have 0.8mm ball pitch. 3. all device package combinations bond out 4 ps-gtr transceivers. 4. all device package combinations bond out 214 ps i/o ex cept zu2cg and zu3cg in the sbva484 and sfva625 packages, which bond out 170 ps i/os. 5. packages with the same last letter an d number sequence, e.g., a484, are footprint compatible with all other ultrascale devices with the same se quence. the footprint compatible device s within this family are outlined. 6. all 58 hp i/o pins are powered by the same v cco supply. 7. gth transceivers in the sfvc784 package support data rates up to 12.5gb/s.
zynq ultrascale+ mpsoc overview ds891 (v1.3) september 23, 2016 www.xilinx.com advance product specification 6 table 3: zynq ultrascale+ mpsoc: eg device feature summary zu2eg zu3eg zu4eg zu5eg zu6eg zu7eg zu9eg zu11eg ZU15EG zu17eg zu19eg application processing unit quad-c ore arm cortex-a53 mpcore with co resight; neon & single/double precision floating point; 32kb/ 32kb l1 cache, 1mb l2 cache real-time processing unit dual-core arm cortex -r5 with coresight; single/d ouble precision floating point; 32kb/32kb l1 cache, an d tcm embedded and external memory 256kb on-chip memory w/ecc; external ddr4; ddr3; ddr3l; lpddr4; lpddr3; external quad-spi; nand; emmc general connectivity 214 ps i/o; uart; can; usb 2.0; i2c; spi; 32b gpio; real time clock; watc hdog timers; triple timer counters high-speed connectivity 4 ps-gtr; pcie gen1/2; se rial ata 3.1; displayport 1.2a; usb 3.0; sgmii graphic processing unit arm mali?-400 mp2; 64kb l2 cache system logic cells 103,320 154,350 192,150 256,200 469,446 504,000 599,550 653,100 746,550 926,194 1,143,450 clb flip-flops 94,464 141,120 175,680 234,240 429,208 460,800 548,160 597,120 682,560 846,806 1,045,440 clb luts 47,232 70,560 87,840 117,120 214,604 230,400 274,080 298,560 341,280 423,403 522,720 distributed ram (mb) 1.21.82.63.56.96.28.89.111.38.09.8 block ram blocks 150 216 128 144 714 312 912 600 744 796 984 block ram (mb) 5.3 7.6 4.5 5.1 25.1 11.0 32.1 21.1 26.2 28.0 34.6 ultraram blocks 0 0 48 64 0 96 0 80 112 102 128 ultraram (mb) 0 0 14.0 18.0 0 27.0 0 22.5 31.5 28.7 36.0 dsp slices 240 360 728 1,056 1,973 1,728 2,520 2,928 3,528 1,590 1,968 cmts 3344484841111 max. hp i/o (1) 156 156 156 156 208 416 208 416 208 572 572 max. hd i/o (2) 96 96 96 96 120 48 120 96 120 96 96 system monitor 22222222222 gth transceiver 16.3gb/s (3) 0 0 16 16 24 24 24 32 24 44 44 gty transceivers 32.75gb/s00000001602828 transceiver fractional plls008812121224123636 pcie gen3 x16 and gen4 x800220204045 150g interlaken 00000001024 100g ethernet w/ rs-fec00000002024 notes: 1. hp = high-performance i/o with support for i/o voltage fr om 1.0v to 1.8v. 2. hd = high-density i/o with suppor t for i/o voltage from 1.2v to 3.3v. 3. gth transceivers in the sfvc784 package support data rates up to 12.5gb/s. see table 4 .
zynq ultrascale+ mpsoc overview ds891 (v1.3) september 23, 2016 www.xilinx.com advance product specification 7 table 4: zynq ultrascale+ mpsoc: eg device-pac kage combinations and maximum i/os package (1)(2)(3)(4)(5) package dimensions (mm) zu2eg zu3eg zu4eg zu5eg zu6eg zu7eg zu9eg zu11eg ZU15EG zu17eg zu19eg hd, hp gth, gty hd, hp gth, gty hd, hp gth, gty hd, hp gth, gty hd, hp gth, gty hd, hp gth, gty hd, hp gth, gty hd, hp gth, gty hd, hp gth, gty hd, hp gth, gty hd, hp gth, gty sbva484 (6) 19x19 24, 58 0, 0 24, 58 0, 0 sfva625 21x21 24, 156 0, 0 24, 156 0, 0 sfvc784 (7) 23x23 96, 156 0, 0 96, 156 0, 0 96, 156 4, 0 96, 156 4, 0 fbvb900 31x31 48, 156 16, 0 48, 156 16, 0 48, 156 16, 0 ffvc900 31x31 48, 156 16, 0 48, 156 16, 0 48, 156 16, 0 ffvb1156 35x35 120, 208 24, 0 120, 208 24, 0 120, 208 24, 0 ffvc1156 35x35 48, 312 20, 0 48, 312 20, 0 ffvb1517 40x40 72, 416 16, 0 72, 572 16, 0 72, 572 16, 0 ffvf1517 40x40 48, 416 24, 0 48, 416 32, 0 ffvc1760 42.5x42.5 96, 416 32, 16 96, 416 32, 16 96, 416 32, 16 ffvd1760 42.5x42.5 48, 260 44, 28 48, 260 44, 28 ffve1924 45x45 96, 572 44, 0 96, 572 44, 0 notes: 1. go to ordering information for package designation details. (5) 2. fb/ff packages have 1.0mm ball pitch. sb/sf packages have 0.8mm ball pitch. 3. all device package combinations bond out 4 ps-gtr transceivers. 4. all device package combinations bond ou t 214 ps i/o except zu2eg and zu3eg in the sbva484 and sfva 625 packages, which bond ou t 170 ps i/os. 5. packages with the same last letter an d number sequence, e.g., a484, are footprint co mpatible with all other ultrascale device s with the same sequence. the footprint compatible devices within this family are outlined. 6. all 58 hp i/o pins are powered by the same v cco supply. 7. gth transceivers in the sfvc784 package support data rates up to 12.5gb/s.
zynq ultrascale+ mpsoc overview ds891 (v1.3) september 23, 2016 www.xilinx.com advance product specification 8 table 5: zynq ultrascale+ mpsoc: ev device feature summary zu4ev zu5ev zu7ev application processing unit quad-core arm cortex-a53 mpcore with coresight; neon & single/double precision floating point; 32kb/32kb l1 cache, 1mb l2 cache real-time processing unit dual-core arm cortex-r5 with coresight; single/double precision floating point; 32kb/32kb l1 cache, and tcm embedded and external memory 256kb on-chip memory w/ecc; external ddr4; ddr3; ddr3l; lpddr4; lpddr3; external quad-spi; nand; emmc general connectivity 214 ps i/o; uart; can; usb 2.0; i2c; spi; 32b gpio; real time clock; watchdog timers; triple timer counters high-speed connectivity 4 ps-gtr; pcie gen1/2; serial ata 3.1; displayport 1.2a; usb 3.0; sgmii graphic processing unit arm mali?-400 mp2; 64kb l2 cache video codec 1 1 1 system logic cells 192,150 256,200 504,000 clb flip-flops 175,680 234,240 460,800 clb luts 87,840 117,120 230,400 distributed ram (mb) 2.6 3.5 6.2 block ram blocks 128 144 312 block ram (mb) 4.5 5.1 11.0 ultraram blocks 48 64 96 ultraram (mb) 14.0 18.0 27.0 dsp slices 728 1,056 1,728 cmts 4 4 8 max. hp i/o (1) 156 156 416 max. hd i/o (2) 96 96 48 system monitor 2 2 2 gth transceiver 16.3gb/s (3) 16 16 24 gty transceivers 32.75gb/s 0 0 0 transceiver fractional plls 8 8 12 pcie gen3 x16 and gen4 x8 2 2 2 150g interlaken 0 0 0 100g ethernet w/ rs-fec 0 0 0 notes: 1. hp = high-performance i/o with support for i/o voltage fr om 1.0v to 1.8v. 2. hd = high-density i/o with suppor t for i/o voltage from 1.2v to 3.3v. 3. gth transceivers in the sfvc784 package support data rates up to 12.5gb/s. see table 6 .
zynq ultrascale+ mpsoc overview ds891 (v1.3) september 23, 2016 www.xilinx.com advance product specification 9 table 6: zynq ultrascale+ mpsoc: ev device-pac kage combinations and maximum i/os package (1)(2)(3) (4) package dimensions (mm) zu4ev zu5ev zu7ev hd, hp gth, gty hd, hp gth, gty hd, hp gth, gty sfvc784 (5) 23x23 96, 156 4, 0 96, 156 4, 0 fbvb900 31x31 48, 156 16, 0 48, 156 16, 0 48, 156 16, 0 ffvc1156 35x35 48, 312 20, 0 ffvf1517 40x40 48, 416 24, 0 notes: 1. go to ordering information for package designation details. 2. fb/ff packages have 1.0mm ball pitc h. sf packages have 0.8mm ball pitch. 3. all device package combinations bond out 4 ps-gtr transceivers. 4. packages with the same last letter an d number sequence, e.g., c784, are footprint compatible with all other ultrascale devices with the same se quence. the footprint compatible device s within this family are outlined. 5. gth transceivers in the sfvc784 package support data rates up to 12.5gb/s.
zynq ultrascale+ mpsoc overview ds891 (v1.3) september 23, 2016 www.xilinx.com advance product specification 10 zynq ultrascale+ mpsocs a comprehensive device family, zynq ultrascale+ mpsocs offer single-chip, all programmable, heterogeneous multiprocessors that provide designer s with software, hardware , interconnect, power, security, and i/o programmability. the range of devi ces in the zynq ultrascale+ mpsoc family allows designers to target cost-sensitive as well as high -performance applications from a single platform using industry-standard tools. while each zynq ultrascale + mpsoc contains the same ps, the pl, video hard blocks, and i/o resources vary between the devices. the zynq ultrascale+ mpsocs are able to serve a wide range of applications including: ? automotive: driver assi stance, driver informat ion, and infotainment ? wireless communications: support for mult iple spectral bands and smart antennas ? wired communications: multiple wi red communications standards an d context-aware network services ? data centers: software defined networks (sdn), data pre-processing, and analytics ? smarter vision: evolving vide o-processing algorithms, obje ct detection, and analytics ? connected control/m2m: flexible/adaptable manufa cturing, factory throughput, quality, and safety the ultrascale mpsoc architecture pr ovides processor scalability from 32 to 64 bits with support for virtualization, the combination of so ft and hard engines for real-time co ntrol, graphics/video processing, waveform and packet processing, next-generat ion interconnect and memory, advanced power management, and technology enhancements that deliver multi-level security, safety, and reliability. xilinx offers a large number of soft ip for the zynq ultr ascale+ mpsoc family. stand-alone and linux device drivers are available for the peripher als in the ps and the pl. xilinx?s vivado? design suite, sdk?, and petalinux development environments enable rapid product development for software, hardware, and systems engineers. the arm-based ps also brings a br oad range of third-party tools and ip providers in combination with xilinx's existing pl ecosystem. the zynq ultrascale+ mpsoc family delivers unprecede nted processing, i/o, and memory bandwidth in the form of an optimized mix of heterogeneous processing engines embedded in a next-generation, high-performance, on-chip interconnect with appropriate on-chip memory subsystems. the heterogeneous processing and programmable engines, which are optimized fo r different application tasks, enable the zynq ultrascale+ mpsocs to deliver the extensive performance and efficiency required to address next-generation smarter systems while re taining backwards compatib ility with the original zynq-7000 all programmable soc family . the ultrascale mpsoc architecture also incorporates multiple levels of security, increased safety, and advanced po wer management, which are critical requirements of next-generation smarter systems. xilinx?s embedded ultrafast? design methodology fully exploits the table 7: zynq ultrascale+ mpsoc device features cg devices eg devices ev devices apu dual-core arm cortex-a53 quad-core arm cortex-a53 quad-core arm cortex-a53 rpu dual-core arm cortex-r5 dual-core arm cortex-r5 dual-core arm cortex-r5 gpu ? mali-400mp2 mali-400mp2 vcu ? ? h.264/h.265
zynq ultrascale+ mpsoc overview ds891 (v1.3) september 23, 2016 www.xilinx.com advance product specification 11 asic-class capabilities afforded by the ultrascale mpsoc architecture while supporting rapid system development. the inclusion of an application proc essor enables high-level operating system support, e.g., linux. other standard operating systems used with the co rtex-a53 processor are also available for the zynq ultrascale+ mpsoc family. the ps and the pl ar e on separate power domains, enabling users to power down the pl for power management if required. th e processors in the ps always boot first, allowing a software centric approach for pl configuration. pl configuration is managed by software running on the cpu, so it boots similar to an assp.
zynq ultrascale+ mpsoc overview ds891 (v1.3) september 23, 2016 www.xilinx.com advance product specification 12 processing system application processing unit (apu) the key features of the apu include: ? 64-bit quad-core arm cortex-a53 mpcores. features associat ed with each core include: o arm v8-a architecture o operating target frequency: up to 1.5ghz o single and double precision floating point: 4sp/2dpflops o neon advanced simd support with single and double precision floating point instructions o a64 instruction set in 64-bit op erating mode, a32/t32 instructio n set in 32-bit operating mode o level 1 cache (separate instruction and data, 32kb each for each cortex-a53 cpu) ? 2-way set-associative instruct ion cache with parity support ? 4-way set-associative data cache with ecc support o integrated memory management unit (mmu) per processor core o trustzone for secure mode operation o virtualization support ? ability to operate in single proces sor, symmetric quad processor, and asymmetric quad-processor modes ? integrated 16-way set-associative 1mb unified level 2 cache with ecc support ? interrupts and timers o generic interrupt controller (gic-400) o arm generic timers (4 timers per cpu) o one watchdog timer (wdt) o one global timer o two triple timers/counters (ttc) ? little and big endian support o big endian support in be8 mode ? coresight debug and trace support o embedded trace macrocell (e tm) for instruction trace o cross trigger interface (cti) enabling hardware breakpoints and triggers ? acp interface to pl for i/o cohe rency and level 2 cache allocation ? ace interface to pl for full coherency ? power island gating on each processor core ? optional efuse disable per core
zynq ultrascale+ mpsoc overview ds891 (v1.3) september 23, 2016 www.xilinx.com advance product specification 13 real-time processing unit (rpu) ? dual-core arm cortex-r5 mpcores. features associated with each core include: o arm v7-r architecture (32-bit) o operating target frequency: up to 600mhz o a32/t32 instruction set support o 4-way set-associative level 1 caches (separate in struction and data, 32kb each) with ecc support o integrated memory protection unit (mpu) per processor o 128kb tightly coupled memory (tcm) with ecc support o tcms can be combined to be come 256kb in lockstep mode ? ability to operate in single -processor or dual-processor modes (split and lock-step) ? little and big endian support ? dedicated swdt and two triple timer counters (ttc) ? coresight debug and trace support o embedded trace macrocell (etm) for instruction and trace o cross trigger interface (cti) enabling hardware breakpoints and triggers ? optional efuse disable full-power domain dma (fpd-d ma) and low-power domain dma (lpd-dma) ? two general-purpose dma controllers one in the full-power domain (f pd-dma) and one in the low-power domain (lpd-dma) ? eight independent channels per dma ? multiple transfer types: o memory-to-memory o memory-to-peripheral o peripheral-to-memory and o scatter-gather ? 8 peripheral interfaces per dma ? trustzone per dma for opti onal secure operation
zynq ultrascale+ mpsoc overview ds891 (v1.3) september 23, 2016 www.xilinx.com advance product specification 14 xilinx memory protection unit (xmpu) ? region based memory protection unit ? up to 16 regions ? each region supports addres s alignment of 1mb or 4kb ? regions can overlap; the higher region number has priority ? each region can be independently enabled or disabled ? each region has a start and end address graphics processing unit (gpu) ? supports opengl es 1.1 & 2.0 ? supports openvg 1.1 ? operating target frequency: up to 667mhz ? single geometry processor and two pixel processor ? pixel fill rate: 2 mpixel/sec/mhz ? triangle rate: 0.11 mtriangles/sec/mhz ? 64kb level 2 cache (read-only) ? 4x and 16x anti-aliasing support ? etc1 texture compression to reduce external memory bandwidth ? extensive texture format support o rgba 8888, 565, 1556 o mono 8, 16 o yuv format support ? automatic load balancing across di fferent graphics shader engines ? 2d and 3d graphic acceleration ? up to 4k texture input and 4k render output resolutions ? each geometry processor and pixel processor supports 4kb page mmu ? power island gating on each gpu engine and shared cache ? optional efuse disable dynamic memory controller (ddrc) ? ddr3, ddr3l, ddr4, lpddr3, lpddr4 ? target data rate: up to 2400mb/s ddr4 operation in -1 speed grade ? 32-bit and 64-bit bus width support for ddr4, ddr3, ddr3l, or lpddr3 memori es, and 32-bit bus width support for lpddr4 memory ? ecc support (using extra bits) ? up to a total dram capacity of 32gb
zynq ultrascale+ mpsoc overview ds891 (v1.3) september 23, 2016 www.xilinx.com advance product specification 15 ? low power modes o active/precharge power down o self-refresh, including clean exit from se lf-refresh after a controller power cycle ? enhanced ddr training by allowing software to measure read/write eye an d make delay adjustments dynamically ? independent performance monitors for read path and write path ? integration of phy debug access po rt (dap) into jtag for testing the ddr memory controller is multi-ported and enable s the ps and the pl to have shared access to a common memory. the ddr controller features six axi slave ports for this purpose: ? two 128-bit axi ports from the arm cortex-a53 cpu( s), rpu (arm cortex-r5 and lpd peripherals), gpu, high speed peripherals (usb3, pcie & sata), and high performance ports (hp0 & hp1) from the pl through the cache coherent interconnect (cci) ? one 64-bit port is dedicate d for the arm cortex-r5 cpu(s) ? one 128-bit axi port from the displayport and hp2 port from the pl ? one 128-bit axi port from hp3 and hp4 ports from the pl ? one 128-bit axi port from ge neral dma and hp5 from the pl high-speed connectivity peripherals pcie ? compliant with the pci express base specification 2.1 ? fully compliant with pci expres s transaction ordering rules ? lane width: x1, x2, or x4 at gen1 or gen2 rates ? 1 virtual channel ? full duplex pcie port ? end point and single pcie link root port ? root port supports enhanced configuration acce ss mechanism (ecam), cf g transaction generation ? root port support for intx, and msi ? endpoint support for msi or msi-x o 1 physical function, no sr-iov o no relaxed or id ordering o fully configurable bars o intx not recommended, but can be generated o endpoint to support configurable target/slave apertures with ad dress translation and interrupt capability
zynq ultrascale+ mpsoc overview ds891 (v1.3) september 23, 2016 www.xilinx.com advance product specification 16 sata ? compliant with sata 3.1 specification ? sata host port supports up to 2 external devices ? compliant with advanced host cont roller interface ('ahci') ver. 1.3 ? 1.5gb/s, 3.0gb/s, and 6.0gb/s data rates ? power management features: supports partial and slumber modes usb 3.0 ? two usb controllers (configura ble as usb 2.0 or usb 3.0) ? up to 5.0gb/s data rate ? host and device modes o super speed, high speed, full speed, and low speed o up to 12 endpoints o the usb host controller registers and data struct ures are compliant to in tel xhci specifications o 64-bit axi master port with built-in dma o power management features: hibernation mode displayport controller ? 4k display processing with displayport output o maximum resolution of 4k x 2k-30 (30hz pixel rate) o displayport aux channel, and hot plug detect (hpd) on the output o rgb ycbcr, 4:2:0; 4:2:2, 4:4:4 with 6, 8, 10, and 12b/c o y-only, xvycc, rgb 4:4:4, ycbcr 4:4:4, ycbcr 4:2:2, and ycbcr 4:2:0 video format with 6,8,10 and 12-bits per color component o 256-color palette o multiple frame buffer formats o 1, 2, 4, 8 bits per pixel (bpp) via a palette o 16, 24, 32bpp o graphics formats such as rgba8888, rgb555, etc. ? accepts streaming video from the pl or dedicated dma controller ? enables alpha blending of graphics and chroma keying
zynq ultrascale+ mpsoc overview ds891 (v1.3) september 23, 2016 www.xilinx.com advance product specification 17 ? audio support o a single stream carries up to 8 lpcm ch annels at 192khz with 24-bit resolution o supports compressed formats incl uding dra, dolby mat, and dts hd o multi-stream transport can extend the number of audio channels o audio copy protection o 2-channel streaming or input from the pl o multi-channel non-streaming audio from a memory audio frame buffer ? includes a system time clock (stc) compliant with iso/iec 13818-1 ? boot-time display using minimum resources platform management unit (pmu) ? performs system initialization during boot ? acts as a delegate to the application an d real-time processors during sleep state ? initiates power-up and restart after the wake-up request ? maintains the system power state at all time ? manages the sequence of low-level events required for power-up, power-down, reset, clock gating, and power gating of islands and domains ? provides error management (error handling and reporting) ? provides safety check functi ons (e.g., memory scrubbing) the pmu includes the following blocks: ? platform management processor ? fixed rom for boot-up of the device ? 128kb ram with ecc for optional user/firmware code ? local and global registers to manage power-down, power-up, reset, clock gating, and power gating requests ? interrupt controller with 16 inte rrupts from other modules and the inter-processor communication interface (ipi) ? gpi and gpo interfaces to and from ps i/o and pl ? jtag interface for pmu debug ? optional user-defined firmware
zynq ultrascale+ mpsoc overview ds891 (v1.3) september 23, 2016 www.xilinx.com advance product specification 18 configuration security unit (csu) ? triple redundant secure processor block (spb) with built-in ecc ? crypto interface block consisting of o 256-bit aes-gcm o sha-3/384 o 4096-bit rsa ? key management unit ? built-in dma ? pcap interface ? supports rom validation duri ng pre-configuration stage ? loads first stage boot loader (f sbl) into ocm in either secu re or non-secure boot modes ? supports voltage, temperature, and frequency monitoring after configuration xilinx peripheral protection unit (xppu) ? provides peripheral protection support ? up to 20 masters simultaneously ? multiple aperture sizes ? access control for a specified set of address apertures on a per master basis ? 64kb peripheral apertures and controls access on per peripheral basis i/o peripherals the iop unit contains the data communication pe ripherals. key features of the iop include: triple-speed gigabit ethernet ? compatible with ieee std 802.3 and supports 10/100/1000mb/s transfer rates (full and half duplex) ? supports jumbo frames ? built-in scatter-gather dma capability ? statistics counter registers for rmon/mib ? multiple i/o types (1.8, 2.5, 3.3v) on rgmii interface with external phy ? gmii interface to pl to su pport interfaces as: tbi, sg mii, and rgmii v2.0 support ? automatic pad and cyclic redundancy chec k (crc) generation on transmitted frames ? transmitter and receive ip, tc p, and udp checksum offload ? mdio interface for phys ical layer management
zynq ultrascale+ mpsoc overview ds891 (v1.3) september 23, 2016 www.xilinx.com advance product specification 19 ? full duplex flow control with recognition of in coming pause frames and hardware generation of transmitted pause frames ? 802.1q vlan tagging with recognition of incoming vlan and priority tagged frames ? supports ieee std 1588 v2 sd/sdio 3.0 controller in addition to secure digital (sd) device s, this controller also supports emmc 4.51. ? host mode support only ? built-in dma ? 1/4-bit sd specification, version 3.0 ? 1/4/8-bit emmc specification, version 4.51 ? supports primary boot from sd card and emmc (managed nand) ? high speed, default speed , and low-speed support ? 1 and 4-bit data interface support o low speed clock 0-400khz o default speed 0-25mhz o high speed clock 0-50mhz ? high speed interface o sd uhs-1: 208mhz o emmc hs200: 200mhz ? memory, i/o, and sd cards ? power control modes ? data fifo interface up to 512b uart ? programmable baud rate generator ? 6, 7, or 8 data bits ? 1, 1.5, or 2 stop bits ? odd, even, space, mark, or no parity ? parity, framing, and overrun error detection ? line break generation and detection ? automatic echo, local loopback, and remote loopback channel modes ? modem control signals: cts, rts, dsr, dtr, ri, and dcd (from emio only)
zynq ultrascale+ mpsoc overview ds891 (v1.3) september 23, 2016 www.xilinx.com advance product specification 20 spi ? full-duplex operation offers simu ltaneous receiv e and transmit ? 128b deep read and write fifo ? master or slave spi mode ? up to 3 chip select lines ? multi-master environment ? identifies an error condition if more than one master detected ? selectable master clock reference ? software can poll for status or be interrupt driven i2c ? 128-bit buffer size ? both normal (100khz) and fast bus data rates (400khz) ? master or slave mode ? normal or extended addressing ? i2c bus hold for slow host service gpio ? up to 128 gpio bits o up to 78-bits from mio and 96-bits from emio ? each gpio bit can be dynamically programmed as input or output ? independent reset values for each bit of all registers ? interrupt request generation for each gpio signals ? single channel (bit) write capability for all control re gisters include data output register, direction control register, and interrupt clear register ? read back in output mode can ? conforms to the iso 11898 -1, can2.0a, and can 2.0b standards ? both standard (11-bit identifier) an d extended (29-bit identifier) frames ? bit rates up to 1mb/s ? transmit and receive message fifo with a depth of 64 messages ? watermark interrupts for txfifo and rxfifo ? automatic re-transmission on errors or arbitration loss in normal mode ? acceptance filtering of 4 acceptance filters
zynq ultrascale+ mpsoc overview ds891 (v1.3) september 23, 2016 www.xilinx.com advance product specification 21 ? sleep mode with automatic wake-up ? snoop mode ? 16-bit timestamping for receive messages ? both internal generated reference clock an d external reference clock input from mio ? guarantee clock sampling edge between 80 to 83% at 24mhz reference clock input ? optional efuse disable per port usb 2.0 ? two usb controllers (configura ble as usb 2.0 or usb 3.0) ? host, device and on-the-go (otg) modes ? high speed, full speed, and low speed ? up to 12 endpoints ? 8-bit ulpi external phy interface ? the usb host controller registers and data structur es are compliant to inte l xhci specifications. ? 64-bit axi master port with built-in dma ? power management features: hibernation mode static memory interfaces the static memory interfaces support external static memories. ? onfi 3.1 nand flash support with up to 24-bit ecc ? 1-bit spi, 2-bit spi, 4-bit spi (quad-spi), or two quad-spi (8-bit) serial nor flash ? 8-bit emmc interface supporting managed nand flash nand onfi 3.1 flash controller ? onfi 3.1 compliant ? supports chip select reduction per onfi 3.1 spec ? slc nand for boot/configuration and data storage ? ecc options based on slc nand o 1, 4, or 8 bits per 512+spare bytes o 24 bits per 1024+spare bytes ? maximum throughput as follows o asynchronous mode (sdr) 24.3mb/s o synchronous mode (nv-ddr) 112m b/s (for 100mhz flash clock) ? 8-bit sdr nand interface
zynq ultrascale+ mpsoc overview ds891 (v1.3) september 23, 2016 www.xilinx.com advance product specification 22 ? 2 chip selects ? programmable access timing ? 1.8v and 3.3v i/o ? built-in dma for improved performance quad-spi controller ? 4 bytes (32-bit) and 3 bytes (24-bit) address width ? maximum spi clock at master mode at 150mhz ? single, dual-parallel, and dual-stacked mode ? 32-bit axi linear address mappin g interface for read operation ? up to 2 chip select signals ? write protection signal ? hold signals ? 4-bit bidirectional i/o signals ? x1/x2/x4 read speed required ? x1 write speed required only ? 64 byte entry fifo depth to improve qspi read efficiency ? built-in dma for improved performance video encoder/decoder (vcu) zynq ultrascale+ mpsocs include a video codec (encoder/decoder) available in the devices designated with the ev suffix. the vcu is located in the pl and can be accessed from either the pl or ps. ? simultaneous encode and decode through separate cores ? h.264 high profile level 5.2 (4kx2k-60) ? h.265 (hevc) main, main10 profile, leve l 5.1, high tier, up to 4kx2k-60 rate ? 8 and 10 bit encoding ? 4:2:0 and 4:2:2 chroma sampling ? 8kx4k-15 rate ? multi-stream up to total of 4kx2k-60 rate ? low latency mode ? can share the ps dram or use dedicated dram in the pl ? clock/power management ? openmax linux drivers
zynq ultrascale+ mpsoc overview ds891 (v1.3) september 23, 2016 www.xilinx.com advance product specification 23 interconnect all the blocks are connected to each other and to the pl through a mult i-layered arm advanced microprocessor bus architecture (amba) axi interconne ct. the interconnect is non-blocking and supports multiple simultaneous master-slave transactions. the interconnect is designed with latency sensitive masters, such as the arm cpu, having the shortest paths to memory, and bandwidth critical masters, such as the potential pl masters, having high throughput connections to the slaves with which they need to communicate. traffic through the interconnect can be regulated through the quality of service (qos) block in the interconnect. the qos feature is used to regulate traffic generated by the cpu, dma controller, and a combined entity representing the masters in the iop. ps interfaces ps interfaces include external interfaces goin g off-chip or signals going from ps to pl. ps external interfaces the zynq ultrascale+ mpsoc?s external interfaces use de dicated pins that cannot be assigned as pl pins. these include: ? clock, reset, boot mode, and voltage reference ? up to 78 dedicated multiplexed i/o (mio) pins, software -configurable to connect to any of the internal i/o peripherals and static memory controllers ? 32-bit or 64-bit ddr4/ddr3/ddr3l/l pddr3 memories with optional ecc ? 32-bit lpddr4 memory with optional ecc ? 4 channels (tx and rx pair) for transceivers mio overview the iop peripherals communicate to external device s through a shared pool of up to 78 dedicated multiplexed i/o (mio) pins. each peripheral can be assigned one of several pre-defined groups of pins, enabling a flexible assignment of multiple devices simultaneously. although 78 pins are not enough for simultaneous use of all the i/o peripherals, most iop interface signals are available to the pl, allowing use of standard pl i/o pins when powered up and properly configured. extended mult iplexed i/o (emio) allows unmapped ps peripherals to access pl i/o. port mappings can appear in multiple locations. fo r example, there are up to 12 possible port mappings for can pins. the ps configuration wi zard (pcw) tool aids in peripheral and static memory pin mapping.
zynq ultrascale+ mpsoc overview ds891 (v1.3) september 23, 2016 www.xilinx.com advance product specification 24 transceiver (ps-gtr) the four ps-gtr transceivers, which reside in the fu ll power domain (fpd), support data rates of up to 6.0gb/s. all the protocols cannot be pinned out at the same time. at any given time, four differential pairs can be pinned out using the transceivers. this is user programmable via the high-speed i/o multiplexer (hs-mio). ? a quad transceiver ps-gtr (tx/ rx pair) able to support follo wing standards simultaneously o x1, x2, or x4 lane of pcie at gen1 (2.5gb/s) or gen2 (5.0gb/s) rates o 1 or 2 lanes of displayport (tx only) at 1.62gb/s, 2.7gb/s, or 5.4gb/s o 1 or 2 sata channels at 1.5gb/s, 3.0gb/s, or 6.0gb/s o 1 or 2 usb3.0 channels at 5.0gb/s o 1-4 ethernet sgmii channels at 1.25gb/s ? provides flexible host-programmable multiplexing function for connecting the transceiver resources to the ps masters (displayport, pcie, serial-ata, usb3.0, and gige). table 8: mio peripheral interface mapping peripheral interface mio emio quad-spi nand yes no usb2.0: 0,1 yes: external phy no sdio 0,1 yes yes spi: 0,1 i2c: 0,1 can: 0,1 gpio yes can: external phy gpio: up to 78 bits yes can: external phy gpio: up to 96 bits gige: 0,1,2,3 rgmii v2.0: external phy supports gmii, rgmii v2.0 (hstl) , rgmii v1.3, mii, sgmii, and 1000base-x in programmable logic uart: 0,1 simple uart: only two pins (tx and rx) full uart (tx, rx, dtr, dcd, dsr, ri, rts, and cts) requires either: ? two processing system (ps) pins (rx and tx) through mio and six additional programmable logic (pl) pins, or ? eight programmable logic (pl) pins debug trace ports yes: up to 16 trace bits yes: up to 32 trace bits processor jtag yes yes
zynq ultrascale+ mpsoc overview ds891 (v1.3) september 23, 2016 www.xilinx.com advance product specification 25 hs-mio the function of the hs-mio is to multiplex access from the high-speed ps peripheral to the differential pair on the ps-gtr transceiver as defined in the configuration registers. up to 4 channels of the transceiver are available for use by the high-speed interfaces in the ps. ps-pl interface the ps-pl interface includes: ? amba axi4 interfaces for primary data communication o six 128-bit/64-bit/32-bit high performance (h p) slave axi interfaces from pl to ps. ? four 128-bit/64-bit/32-bit hp axi interfaces from pl to ps ddr. ? two 128-bit/64-bit/32-bit high-performance cohere nt (hpc) ports from pl to cache coherent interconnect (cci). o two 128-bit/64-bit/32-bit hp master axi interfaces from ps to pl. o one 128-bit/64-bit/32-bit interface from pl to rp u in ps (pl_lpd) for low latency access to ocm. o one 128-bit/64-bit/32-bit axi interface from rpu in ps to pl (lpd_pl) for low latency access to pl. o one 128-bit axi interface (acp port) for i/o cohere nt access from pl to cortex-a53 cache memory. this interface provides coherency in hardware for cortex-a53 cache memory. o one 128-bit axi interface (ace port) for fully coherent access from pl to cortex-a53. this interface provides coherency in hardware for cortex-a53 cache memory and the pl. ? clocks and resets o four ps clock outputs to the pl with start/stop control. o four ps reset outputs to the pl. table 9: hs-mio peripheral interface mapping peripheral interface lane0 lane1 lane2 lane3 pcie (x1, x2 or x4) pcie0 pcie1 pcie2 pcie3 sata (1 or 2 channels) sata0 sata1 sata0 sata1 displayport (tx only) dp1 dp0 dp1 dp0 usb0 usb0 usb0 usb0 ? usb1 ? ? ? usb1 sgmii0 sgmii0 ? ? ? sgmii1 ? sgmii1 ? ? sgmii2 ? ? sgmii2 ? sgmii3 ? ? ? sgmii3
zynq ultrascale+ mpsoc overview ds891 (v1.3) september 23, 2016 www.xilinx.com advance product specification 26 high-performance axi ports the high-performance axi4 ports provide access from th e pl to ddr and high-speed interconnect in the ps. the six dedicated axi memory port s from the pl to the ps are configurable as either 128-bit, 64-bit, or 32-bit interfaces. these interfaces connect the pl to the memory interconnect via a fifo interface. two of the axi interfaces support i/o coherent access to the apu caches. each high-performance axi port has these characteristics: ? reduced latency between pl and processing system memory ? 1kb deep fifo ? configurable either as 128-bit, 64-bit, or 32-bit axi interfaces ? multiple axi command issuing to ddr accelerator coherency port (acp) the zynq ultrascale+ mpsoc accelerator coherency port (acp) is a 64-bit axi slave interface that provides connectivity between the apu and a potential accelerato r function in the pl. the acp directly connects the pl to the snoop control unit (scu) of the arm cort ex-a53 processors, enabling cache-coherent access to cpu data in the l2 cache. the acp provides a low la tency path between the ps and a pl-based accelerator when compared with a legacy cache flushing and lo ading scheme. the acp only snoops access in the cpu l2 cache, providing coherency in hard ware. it does not support coherency on the pl side. so this interface is ideal for a dma or an accelerator in the pl that only requires coherency on the cpu cache memories. for example, if a microblaze? processor in the pl is at tached to the acp interface, the cache of microblaze processor will not be cohere nt with cortex-a53 caches. axi coherency extension (ace) the zynq ultrascale+ mpsoc axi coherency extension (ace) is a 64-bit axi4 slave interface that provides connectivity between the apu and a potential accelerato r function in the pl. the ace directly connects the pl to the snoop control unit (scu) of the arm cort ex-a53 processors, enabling cache-coherent access to cache coherent interconnect (cci). the ace provides a low-latency path between the ps and a pl-based accelerator when compared with a legacy cache flus hing and loading scheme. the ace snoops accesses to the cci and the pl side, thus, provid ing full coherency in hardware. this interface can be used to hook up a cached interface in the pl to the ps as caches on both the cortex-a53 memories and the pl master are snooped thus providing full coherency. for example, if a microblaze processor in the pl is hooked up using an ace interface, then cortex-a53 and microblaze processor caches will be coherent with each other.
zynq ultrascale+ mpsoc overview ds891 (v1.3) september 23, 2016 www.xilinx.com advance product specification 27 programmable logic this section covers the information about blocks in the programmable logic (pl). device layout ultrascale architecture-based devices are arranged in a column-and-grid layout. columns of resources are combined in different ratios to provide the optimum capability for the device de nsity, target market or application, and device cost. at the core of ultras cale+ mpsocs is the processing system that displaces some of the full or partial columns of programmable logic resources. figure 1 shows a device-level view with resources grouped together. for simplicity, certain resources such as the processing system, integrated blocks for pcie, configuration logic, and system monitor are not shown. resources within the device are divided into segmented clock regions. the height of a clock region is 60 clbs. a bank of 52 i/os, 24 dsp slices, 12 block rams, or 4 transceiver channels also matches the height of a clock region. the width of a clock region is essentia lly the same in all cases, regardless of device size or the mix of resources in the region, enabling repe atable timing results. each segmented clock region contains vertical and horizontal clock routing that span its full height and width. these horizontal and vertical clock routes can be segmented at th e clock region boundary to provide a flexible, high-performance, low-power clock distribution architecture. figure 2 is a representation of a device divided into regions. x-ref target - figure 1 figure 1: device with columnar resources i/o, clocking, memory interface logic i/o, clocking, memory interface logic clb, dsp, block ram clb, dsp, block ram transceivers transceivers clb, dsp, block ram ds891_01_012915
zynq ultrascale+ mpsoc overview ds891 (v1.3) september 23, 2016 www.xilinx.com advance product specification 28 input/output all zynq ultrascale+ mpsocs have i/o pins for communica ting to external components. in addition, in the mpsoc?s ps, there are another 78 i/os that the i/ o peripherals use to communicate to external components, referred to as mu ltiplexed i/o (mio). if more than 78 pins are required by the i/o peripherals, the i/o pins in the pl can be used to extend the mp soc interfacing capability, re ferred to as extended mio (emio). the number of i/o pins in the pl of zynq ultrascale + mpsocs varies depending on device and package. each i/o is configurable and can comply with a larg e number of i/o standards. the i/os are classed as high-performance (hp), or high-density (hd). th e hp i/os are optimized for highest performance operation, from 1.0v to 1.8v. the hd i/os are redu ced-feature i/os organized in banks of 24, providing voltage support from 1.2v to 3.3v. all i/o pins are organized in banks, with 52 hp pins per bank or 24 hd pins per bank. each bank has one common v cco output buffer power supply, which also powers certain input buffers. some single-ended input buffers require an internally generated or an externally appl ied reference voltage (v ref ). v ref pins can be driven directly from the pcb or internally generated using the internal v ref generator circuitry present in each bank. i/o electrical characteristics single-ended outputs use a conventional cmos pu sh/pull output structure driving high towards v cco or low towards ground, and can be put into a high-z state. the system designer can specify the slew rate and the output strength. the input is always active but is usually ignored while the output is active. each pin can optionally have a weak pull-up or a weak pull-down resistor. most signal pin pairs can be configured as differentia l input pairs or output pa irs. differential input pin pairs can optionally be terminated with a 100 internal resistor. all ultrasca le architecture-based devices support differential standards beyond lvds, includin g rsds, blvds, differential sstl, and differential hstl. each of the i/os supports memory i/o standards, such as single-ended and differential hstl as well as single-ended and differential sstl. x-ref target - figure 2 figure 2: column-based device divi ded into clock regions clock region width clock region height ds891_02_012915 for graphical representation only, does not represent a real device.
zynq ultrascale+ mpsoc overview ds891 (v1.3) september 23, 2016 www.xilinx.com advance product specification 29 3-state digitally controlled impedance and low power i/o features the 3-state digitally cont rolled impedance (t_dci) can control the output drive impedance (series termination) or can provide parallel te rmination of an input signal to v cco or split (thevenin) termination to v cco /2. this allows users to eliminate off-chip terminati on for signals using t_dci. in addition to board space savings, the termination automatically turns o ff when in output mode or when 3-stated, saving considerable power compared to off-chip termination . the i/os also have low power modes for ibuf and idelay to provide further power savings, especia lly when used to implement memory interfaces. i/o logic input and output delay all inputs and outputs can be configured as either co mbinatorial or registered. double data rate (ddr) is supported by all inputs and outputs. any input or output can be individually delayed by up to 1,250ps of delay with a resolution of 5?15ps. such delays ar e implemented as idelay and odelay. the number of delay steps can be set by configuration and can also be incremented or decremented while in use. the idelay and odelay can be cascaded together to do uble the amount of delay in a single direction. iserdes and oserdes many applications combine high-speed, bit-serial i/o wi th slower parallel operation inside the device. this requires a serializer and deserializer (serdes) inside the i/o logic. each i/o pin possesses an ioserdes (iserdes and oserdes) capable of performing serial-t o-parallel or parallel-to- serial conversions with programmable widths of 2, 4, or 8 bits. these i/o logic features enable high-performance interfaces, such as gigabit ethernet/1000basex/sgmii, to be moved from the transceivers to the selectio interface. high-speed serial transceivers ultra-fast serial data transmission between devices on the same pcb, over backplanes, and across even longer distances is becoming incr easingly important for scaling to 100 gb/s and 400 gb/s line cards. specialized dedicated on-chip circuitry and differential i/o capable of coping with the signal integrity issues are required at these high data rates. three types of transceivers are used in zynq ultrasca le+ mpsocs: gth, gty, and ps-gtr. all transceivers are arranged in groups of four, known as a transc eiver quad. each serial transceiver is a combined transmitter and receiver. table 10 compares the available transceivers.
zynq ultrascale+ mpsoc overview ds891 (v1.3) september 23, 2016 www.xilinx.com advance product specification 30 the following information in this sect ion pertains to the gth and gty only. the serial transmitter and receiver are independent circ uits that use an advanced phase-locked loop (pll) architecture to multiply the reference frequency input by certain programmable numbers between 4 and 25 to become the bit-serial data clock. each transcei ver has a large number of user-definable features and parameters. all of these can be defined during devi ce configuration, and many can also be modified during operation. transmitter the transmitter is fundamentally a parallel-to-serial co nverter with a conversion ratio of 16, 20, 32, 40, 64, or 80 for the gth and 16, 20, 32, 40, 64, 80, 128, or 160 for the gty. this allows the designer to trade off datapath width against timing margin in high-perfo rmance designs. these transmitter outputs drive the pc board with a single-channel differential output si gnal. txoutclk is the appropriately divided serial data clock and can be used directly to register th e parallel data coming from the internal logic. the incoming parallel data is fed th rough an optional fifo and has ad ditional hardware support for the 8b/10b, 64b/66b, or 64b/67b encoding schemes to provide a sufficient number of transitions. the bit-serial output signal drives two package pins with differential signals. this output signal pair has programmable signal swing as well as programmable pre- and post-emphasis to compensate for pc board losses and other interconnect charac teristics. for shorter channels, the swing can be reduced to reduce power consumption. receiver the receiver is fundamentally a seri al-to-parallel converter, changing th e incoming bit-serial differential signal into a parallel stream of words, each 16, 20, 32, 40, 64, or 80 bits in the gth or 16, 20, 32, 40, 64, 80, 128, or 160 for the gty. this allows the designer to tr ade off internal datapath width against logic timing margin. the receiver takes the incoming differentia l data stream, feeds it through programmable dc automatic gain control, linear and decision feedback equalizers (to compensate for pc board, cable, optical and other interconnect characteristics), and uses the reference clock input to initiate clock recognition. there is no need for a separate clock line. the data pattern uses non-return-to-zero (nrz) encoding and optionally ensures sufficient data tr ansitions by using the selected encoding scheme. parallel data is then transferred in to the device logic using the rxusrclk clock. for short channels, the transceivers offer a special low-power mode (lpm) to reduce power consumption by approximately 30%. the receiver dc automatic gain cont rol and linear and decision feed back equalizers can optionally ?auto-adapt? to automatically learn and compensate for different interconnect characteristics. this enables even more margin for tough 10g+ and 25g+ backplanes. table 10: transceiver information zynq ultrascale+ mpsocs type ps-gtr gth gty qty 4 0?44 0?28 max. data rate 6.0gb/s 16.3gb/s 32.75gb/s min. data rate 1.25gb/s 0.5gb/s 0.5gb/s applications ? pcie gen2 ? usb ? ethernet ? backplane ? pcie gen4 ? hmc ? 100g+ optics ? chip-to-chip ? 25g+ backplane ? hmc
zynq ultrascale+ mpsoc overview ds891 (v1.3) september 23, 2016 www.xilinx.com advance product specification 31 out-of-band signaling the transceivers provide out-of-band (oob) signaling, often used to send low-speed signals from the transmitter to the receiver while high-speed serial data transmission is not active. this is typically done when the link is in a powered-down state or has not yet been initialized. this benefits pcie and sata/sas and qpi applications. integrated interface blocks for pci express designs the mpsoc pl includes integrated blocks for pcie tec hnology that can be configured as an endpoint or root port, compliant to the pci express base specific ation revision 3.1 for gen3 and lower data rates and compatible with the pci express base specification revisi on 4.0 (rev 0.5) for gen4 data rates. the root port can be used to build the basis for a compatible root complex, to allow custom chip-to-chip communication via the pci express protocol, and to at tach assp endpoint devices, such as ethernet controllers or fibre cha nnel hbas, to the mpsoc. this block is highly configurable to system design requirements and can operate 1, 2, 4, 8, or 16 lanes at up to 2.5gb/s, 5.0gb/s, 8.0gb/s, or 16gb/s data rates. for high-performance applications, advanced buffering techniques of the block offer a flexible maximum payload size of up to 1,024 bytes. the integrated block interfaces to the integrated high-sp eed transceivers for serial connectivity and to block rams for data buffering. combined, these elements im plement the physical layer, data link layer, and transaction layer of the pci express protocol. xilinx provides a light-weight, configurable, easy-t o-use logicore? ip wrapper that ties the various building blocks (the integrated block for pcie, the tran sceivers, block ram, and clocking resources) into an endpoint or root port solution. the system designer has control over many configurable parameters: link width and speed, maximum payload size, mpsoc logic interface speeds, reference clock frequency, and base address register decoding and filtering. integrated block for interlaken some ultrascale architecture-based devices include integrated blocks for interlaken. interlaken is a scalable chip-to-chip interconnect protocol designed to enable transmission speeds from 10gb/s to 150gb/s. the interlaken integrated block in the ultrasca le architecture is compliant to revision 1.2 of the interlaken specification with data striping and de-s triping across 1 to 12 lanes. permitted configurations are: 1 to 12 lanes at up to 12.5gb/s and 1 to 6 lane s at up to 25.78125gb/s, enabling flexible support for up to 150gb/s per integrated block. with multiple inte rlaken blocks, certain ultrascale architecture-based devices enable easy, reliable interlaken switches and bridges.
zynq ultrascale+ mpsoc overview ds891 (v1.3) september 23, 2016 www.xilinx.com advance product specification 32 integrated block for 100g ethernet compliant to the ieee std 802.3ba, the 100g ethernet integrated blocks in the ultrascale architecture provide low latency 100gb/s ethernet ports with a wi de range of user customization and statistics gathering. with support for 10 x 10.3125gb/s (caui) and 4 x 25.78125gb/s (caui-4) configurations, the integrated block includes both the 100g mac and pc s logic with support for ieee std 1588v2 1-step and 2-step hardware timestamping. in ultrascale+ devices, the 100g ethernet blocks contain a reed solomon forward error correction (rs-fec) block, compliant to ieee std 802.3bj, that can be used with the ethernet block or stand alone in user applications. these families also support ot n mapping mode in which the pcs can be operate without using the mac. clock management the clock generation and distribution components in ultrascale architecture-based devices are located adjacent to the columns that contain the memory inte rfacing and input and output circuitry. this tight coupling of clocking and i/o provides low-latency clocking to the i/o fo r memory interfaces and other i/o protocols. within every clock ma nagement tile (cmt) resides one mixed-mode clock manager (mmcm), two plls, clock distribution buffers and routing, and dedicated circuitry for implementing external memory interfaces. mixed-mode clock manager the mixed-mode clock manager (mmcm) can serve as a frequency synthesize r for a wide range of frequencies and as a jitter filter for incoming clocks . at the center of the mmcm is a voltage-controlled oscillator (vco), which speeds up and slows down de pending on the input voltage it receives from the phase frequency detector (pfd). three sets of programmable frequency dividers (d , m, and o) are programmable by configuration and during normal operation via the dynamic reconfigurat ion port (drp). the pre-divider d reduces the input frequency and feeds one input of the phase/frequency comparator. the feedback divider m acts as a multiplier because it divides the vco output frequency before feed ing the other input of the phase comparator. d and m must be chosen appropriately to keep the vco within its specified frequency range. the vco has eight equally-spaced output phases (0 , 45, 90, 135, 180, 225, 270, and 315). each phase can be selected to drive one of the output dividers, and each divider is programmable by configuration to divide by any integer from 1 to 128. the mmcm has three input-jitter filter options: low bandwidth, high bandwidth, or optimized mode. low-bandwidth mode has the best jit ter attenuation. high-bandwidth mode has the best phase offset. optimized mode allows the tools to find the best setting. the mmcm can have a fractional counter in either the feedback path (acting as a multiplier) or in one output path. fractional counters allow non-integer in crements of 1/8 and can thus increase frequency synthesis capabilities by a factor of 8. the mmcm ca n also provide fixed or dynamic phase shift in small increments that depend on the vco frequency. at 1,600mhz, the phase-shift timing increment is 11.2ps.
zynq ultrascale+ mpsoc overview ds891 (v1.3) september 23, 2016 www.xilinx.com advance product specification 33 pll with fewer features than the mmcm, the two plls in a clock management tile are primarily present to provide the necessary clocks to the dedicated memory interface circuitry. the circuit at the center of the plls is similar to the mmcm, with pfd feeding a vc o and programmable m, d, and o counters. there are two divided outputs to the device fabric per pll as we ll as one clock plus one enable signal to the memory interface circuitry. zynq ultrascale+ mpsocs are equipped with five additi onal plls in the ps for independently configuring the four primary clock domains with the ps: the apu, the rpu, the ddr controller, and the i/o peripherals. clock distribution clocks are distributed throughout zynq ultrascale+ mpsocs via buffers that drive a number of vertical and horizontal tracks. there are 24 horizontal clock rout es per clock region and 24 vertical clock routes per clock region with 24 additional vertical clock routes adjacent to the mmcm and pll. within a clock region, clock signals are routed to the device logic (clbs, etc.) via 16 gateable leaf clocks. several types of clock buffers are av ailable. the bufgce and bufce_leaf buffers provide clock gating at the global and leaf levels, respectively. bufgctrl pr ovides glitchless clock muxi ng and gating capability. bufgce_div has clock gating capability and can divide a clock by 1 to 8. bufg_gt performs clock division from 1 to 8 for the transceiver clocks. in mpsocs, clocks can be transferred from the ps to the pl using dedicated buffers. memory interfaces memory interface data rates continue to increase, driving the need for dedicated circuitry that enables high performance, reliable interf acing to current and next-generat ion memory technologies. every zynq ultrascale+ mpsoc includes dedicated physical interfaces (phy) blocks located between the cmt and i/o columns that support implementation of high -performance phy blocks to external memories such as ddr4, ddr3, qdrii+, and rldram3. the phy blocks in each i/o bank generate the address/control and data bus signaling protocols as well as the pr ecision clock/data alignment required to reliably communicate with a variety of high-performance memo ry standards. multiple i/ o banks can be used to create wider memory interfaces. as well as external parallel memory interfaces, zy nq ultrascale+ mpsoc can communicate to external serial memories, such as hybrid memory cube (hmc), via the high-speed serial transceivers. all transceivers in the ultrascale architecture support the hmc protocol, up to 15gb/s line rates. ultrascale architecture-based devices support the highest band width hmc configuration of 64 lanes with a single device.
zynq ultrascale+ mpsoc overview ds891 (v1.3) september 23, 2016 www.xilinx.com advance product specification 34 configurable logic block every configurable logic block (clb) in the ultrascale architecture contains 8 luts and 16 flip-flops. the luts can be configured as either one 6-input lut with one output, or as two 5-input luts with separate outputs but common inputs. each lut can optionally be registered in a flip-flop. in addition to the luts and flip-flops, the clb contains arit hmetic carry logic and multiplexers to create wider logic functions. each clb contains one slice. there are two types of s lices: slicel and slicem. luts in the slicem can be configured as 64-bit ram, as 32-bit shift registers (srl32), or as two srl16s. clbs in the ultrascale architecture have increased routing and connectivit y compared to clbs in previous-generation xilinx devices. they also have additional control signals to enable superior register pa cking, resulting in overall higher device utilization. interconnect various length vertical and horizontal routing resources in the ultrascale architecture that span 1, 2, 4, 5, 12, or 16 clbs ensure that all signals can be transported from source to destination with ease, providing support for the next generation of wide data buses to be routed across even the highest capacity devices while simultaneously improving qualit y of results and software run time. block ram every ultrascale architecture-bas ed device contains a number of 36kb block rams, each with two completely independent ports that share only the stor ed data. each block ram can be configured as one 36kb ram or two independent 18kb rams. each memory a ccess, read or write, is controlled by the clock. connections in every block ram column enable signals to be cascad ed between vertically adjacent block rams, providing an easy method to create large, fast memory arra ys, and fifos with greatly reduced power consumption. all inputs, data, address, clock enables, and write enables are registered. the input address is always clocked (unless address latching is turned off), retain ing data until the next operat ion. an optional output data pipeline register allows higher clock rates at the cost of an extr a cycle of latency. during a write operation, the data output can reflect either the previo usly stored data or the newly written data, or it can remain unchanged. block ram sites that remain unused in the user design are automatically powered down to reduce total power consumption. there is an additional pin on every block ram to control the dynamic power gating feature.
zynq ultrascale+ mpsoc overview ds891 (v1.3) september 23, 2016 www.xilinx.com advance product specification 35 programmable data width each port can be configured as 32k 1; 16k 2; 8k 4; 4k 9 (or 8); 2k 18 (or 16); 1k 36 (or 32); or 512 72 (or 64). whether configured as block ram or fifo, the two ports can have different aspect ratios without any constraints. each block ram can be di vided into two completely independent 18kb block rams that can each be configured to any aspect ratio from 16k 1 to 512 36. everything described previously for the full 36kb block ra m also applies to each of the sma ller 18kb block rams. only in simple dual-port (sdp) mode can data widths of greater th an 18 bits (18kb ram) or 36 bits (36kb ram) be accessed. in this mode, one port is dedicated to read operation, the other to write operation. in sdp mode, one side (read or write) can be variable, while the other is fixed to 32/36 or 64/72. both sides of the dual-port 36kb ram can be of variable width. error detection and correction each 64-bit-wide block ram can ge nerate, store, and utilize eight additional hamming code bits and perform single-bit error correction and double-bit e rror detection (ecc) during the read process. the ecc logic can also be used when wr iting to or reading from external 64- to 72-bit-wide memories. fifo controller each block ram can be configured as a 36kb fifo or an 18kb fifo. the buil t-in fifo controller for single-clock (synchronous) or dual-c lock (asynchronous or multirate) operation increments the internal addresses and provides four handsh aking flags: full, empty, programm able full, and programmable empty. the programmable flags allow the user to specify the fi fo counter values that make these flags go active. the fifo width and depth are programmable with suppor t for different read port and write port widths on a single fifo. a dedicated ca scade path allows for easy creation of deeper fifos. ultraram ultraram is a high-density, dual-port, synchronous me mory block used in some ultrascale+ families. both of the ports share the same clock and can address all of the 4k x 72 bits. each port can independently read from or write to the memory array. ultraram supports two types of write enable schemes. the first mode is consistent with the block ram byte write enable mode. the second mode allows gating the data and parity byte writes separately. multiple ultraram blocks can be cascaded together to create larger memory arrays. ultraram blocks can be connected together to create larger memory arrays. dedicated routing in the ultraram column enables the entire column height to be connected together. this makes ultraram an ideal solution for replacing external memories such as sram. cascadable anywhere from 288kb to 36mb, ultraram provides the flexibility to fulf ill many different memory requirements. error detection and correction each 64-bit-wide ultraram can generate, store an d utilize eight additional hamming code bits and perform single-bit error correction and double-bit error detection (ecc) during the read process.
zynq ultrascale+ mpsoc overview ds891 (v1.3) september 23, 2016 www.xilinx.com advance product specification 36 digital signal processing dsp applications use many binary multipliers and accumulators, best implem ented in dedicated dsp slices. all ultrascale architecture-based devices ha ve many dedicated, low-power dsp slices, combining high speed with small size while retaining system design flexibility. each dsp slice fundamentally consists of a dedicated 27 18 bit twos complement multiplier and a 48-bit accumulator. the multiplier can be dynamically bypassed, and two 48-bit inputs can feed a single-instruction-multiple-data (simd) arithmetic unit (dual 24-bit add/subtract/accumulate or quad 12-bit add/subtract/accumulate), or a logic unit that can generate any one of ten different logic functions of the two operands. the dsp includes an additional pre-adder, typically us ed in symmetrical filters. this pre-adder improves performance in densely packed designs and reduces the dsp slice count by up to 50%. the 96-bit-wide xor function, programmable to 12, 24, 48, or 96-bi t widths, enables performance improvements when implementing forward error correction and cyclic redundancy checking algorithms. the dsp also includes a 48-bit-wide pattern detector that can be used for convergent or symmetric rounding. the pattern detector is also capable of im plementing 96-bit-wide logic functions when used in conjunction with the logic unit. the dsp slice provides extensive pipelining and ex tension capabilities that enhance the speed and efficiency of many applications beyond digital sign al processing, such as wide dynamic bus shifters, memory address generators, wide bus multiplexe rs, and memory-mapped i/o register files. the accumulator can also be used as a synchronous up/down counter. system monitor the system monitor blocks in the ultrascale architectu re are used to enhance the overall safety, security, and reliability of the system by monitoring the physical environment via on-chip power supply and temperature sensors. all ultrascale architecture-based devices contain at least one system monitor. the system monitor in ultrascale+ devices is similar to the kintex ultrascale and virtex ultrascale devices but with the addition of a pmbus interface. zynq ultrascale+ mpsocs contain one system monitor in the pl and an additional block in the ps. the system monitor in the pl has the same featur es as the block in ul trascale+ fpgas. see table 11 . table 11: key system monitor features zynq ultrascale+ mpsoc pl zynq ultrascale+ mpsoc ps adc 10-bit 200ksps 10-bit 1msps interfaces jtag, i2c, drp, pmbus apb
zynq ultrascale+ mpsoc overview ds891 (v1.3) september 23, 2016 www.xilinx.com advance product specification 37 in fpgas and the mpsoc pl, sensor outputs and up to 17 user-allocated external analog inputs are digitized using a 10-bit 200 kilo-sample-per-second (ksps) adc, and the measur ements are stored in registers that can be accessed via internal fpga (drp), jtag, pmbus, or i2c interfaces. the i2c interface and pmbus allow the on-chip monitoring to be easily accessed by the system manager/host before and after device configuration. the system monitor in the mpsoc ps uses a 10-bit, 1 mega-sample-per-second (msps) adc to digitize the sensor inputs. the measurements are stored in registers and are accessed via the advanced peripheral bus (apb) interface by the processors and the pmu in the ps. packaging the ultrascale architecture-based devices are available in a variety of organic flip-chip and lidless flip-chip packages supporting different quantities of i/os and transceivers. maximum supported performance can depend on the style of package and its material. al ways refer to the specific device data sheet for performance specifications by package type. in flip-chip packages, the silicon device is attached to the package substrate using a high-performance flip-chip process. decoupling capacitors are mounted on the package substrate to optimize signal integrity under simultan eous switching of outputs (sso) conditions. system-level features several functions span both the ps and pl and include: ? reset management ? clock management ? power domains ? ps boot and device configuration ? hardware and software debug support reset management the reset management function provides the ability to re set the entire device or in dividual units within it. the ps supports these reset functions and signals: ? external and internal power-on reset signal ? warm reset ? watchdog timer reset ? user resets to pl ? software, watchdog timer, or jtag provided resets ? security violation reset (locked down reset)
zynq ultrascale+ mpsoc overview ds891 (v1.3) september 23, 2016 www.xilinx.com advance product specification 38 clock management the ps in zynq ultrascale+ mpsocs is equipped with five phase-locked loops (p lls), providing flexibility in configuring the clock domains within the ps. there ar e four primary clock domains of interest within the ps. these include the apu, the rpu, the ddr controller, and the i/o peripherals (iop). the frequencies of all of these domains can be configured independently under software control. power domains the zynq ultrascale+ mpsoc contai ns four separate power domains. when they are connected to separate power supplies, they can be completely powered down independently of each other without consuming any dynamic or static power. the processing system includes: ? full power domain (fpd) ? low power domain (lpd) ? battery powered domain (bpd) in addition to these three processing system powe r domains, the pl can also be completely powered down if connected to separate power supplies. the full power domain (fpd) consists of the following major blocks: ? application processing unit (apu) ? dma (fp-dma) ? graphics processing unit (gpu) ? dynamic memory controller (ddrc) ? high-speed i/o peripherals the low power domain (lpd) consists of the following major blocks: ? real-time processing unit (rpu) ? dma (lp-dma) ? platform management unit (pmu) ? configuration security unit (csu) ? low-speed i/o peripherals ? static memory interfaces the battery power domain (bpd) is the lowest power domain of the zynq ultrascale+ mpsoc processing system. in this mode, all the ps is powered off exce pt the real-time clock (rtc) and battery-backed ram (bbram). power examples power for the zynq ultrascale+ mpsocs varies dependin g on the utilization of the pl resources, and the frequency of the ps and pl. to estimate power, use the xilinx power estimator (xpe) at: http://www.xilinx.com/products/de sign_tools/logic_design/xpe.htm
zynq ultrascale+ mpsoc overview ds891 (v1.3) september 23, 2016 www.xilinx.com advance product specification 39 ps boot and device configuration zynq ultrascale+ mpsocs use a multi- stage boot process that supports both a non-secure and a secure boot. the ps is the master of the boot and config uration process. for a secure boot, the aes-gcm, sha-3/384 decrypts and authenticates the images while the 4096-bit rsa block authenticates the image. upon reset, the device mode pins are read to determine the primary boot device to be used: nand, quad-spi, sd, emmc, or jtag. jtag ca n only be used as a non-secure boot source and is intended for debugging purposes. the csu executes code out of on -chip rom and copies the first stage boot loader (fsbl) from the boot device to the ocm. after copying the fsbl to ocm, one of the processors , either the cortex-a53 or cortex-r5, executes the fsbl. xilinx supplies example fsbls or users can create their own. the fsbl initiates the boot of the ps and can load and configure the pl, or configuration of the pl can be deferred to a later stage. the fsbl typically loads either a user applicat ion or an optional second stage bo ot loader (ssbl), such as u-boot. users obtain example ssbl from xilinx or a third pa rty, or they can create their own ssbl. the ssbl continues the boot process by loading code from any of the primary boot devices or from other sources such as usb, ethernet, etc. if the fsbl did not configure the pl, the ssbl can do so, or again, the configuration can be deferred to a later stage. the static memory interface controll er (nand, emmc, or qu ad-spi) is configured using default settings. to improve device configuration speed, these settings can be modified by information provided in the boot image header. the rom bo ot image is not user readab le or callable after boot. hardware and software debug support the debug system used in zynq ultrascale+ mpsocs is based on the arm coresight architecture. it uses arm coresight components including an embedded trace controller (etc), an embedded trace macrocell (etm) for each cortex-a53 and cortex-r5 processor, and a system trace macrocell (stm). this enables advanced debug features like event trace, debug breakpoints and triggers, cross-trigger, and debug bus dump to memory. the prog rammable logic can be debugged with the xilinx vivado logic analyzer. debug ports three jtag ports are available and can be chained toge ther or used separately. when chained together, a single port is used for chip-level jtag functions, arm processor code downloads and run-time control operations, pl configuration, and pl debug with the vi vado logic analyzer. this enables tools such as the xilinx software development kit (sdk) and vivado logic analyzer to share a single download cable from xilinx. when the jtag chain is split, one po rt is used to directly access th e arm dap interface. this coresight interface enables the use of arm-compliant debug an d software development tools such as development studio 5 (ds-5?). the other jtag port can then be used by the xilinx fpga tools for access to the pl, including configuration bitstream downloads and pl de bug with the vivado logic analyzer. in this mode, users can download to and debug the pl in the same manner as a stand-alone fpga.
zynq ultrascale+ mpsoc overview ds891 (v1.3) september 23, 2016 www.xilinx.com advance product specification 40 ordering information table 12 shows the speed and temperature grades av ailable in the different device families. the ordering information shown in figure 3 applies to all packages in the zynq ultrascale+ mpsocs. table 12: speed grade and temperature grade device family devices speed grade and temperature grade commercial (c) extended (e) industrial (i) 0c to +85c 0c to +100c 0c to +110c ?40c to +100c zynq ultrascale+ cg devices -2le (1)(2) (0.85v or 0.72v) -2i (0.85v) -1e (0.85v) -1i (0.85v) -1li (2) (0.85v or 0.72v) eg and ev devices -3e (0.90v) -2le (1)(2) (0.85v or 0.72v) -2i (0.85v) -1e (0.85v) -1i (0.85v) -1li (2) (0.85v or 0.72v) notes: 1. in -2le speed/temperature gr ade, devices can operate for a limited time with junction temperature of 110c. timing parameters adhere to the same speed file at 110c as they do below 110c, regardless of operating voltage (nominal at 0.85v or low voltage at 0.72v). operation at 110c tj is li mited to 1% of the device lifetime and can occur sequentially or at regular intervals as long as the tota l time does not exceed 1% of device lifetime. 2. when operating the pl at low voltage (0.72v), the ps operates at nominal voltage (0.85v). x-ref target - figure 3 figure 3: zynq ultrascale+ mpsoc ordering information xc example: xilinx commercial 7 zu: zynq ultrascale+ speed grade -1: slowest -l1: low power -2: mid -l2: low power -3: fastest temperature grade e: extended i: industrial f: lid b: lidless package designator and pin count (footprint identifier) f: flip-chip with 1.0mm ball pitch s: flip-chip with 0.8mm ball pitch ds891_03_091216 1) -l1 and -l2 are the ordering codes for the low power -1l and -2l speed grades, respectively. zu -1 v c1156 e ff v: rohs 6/6 e value index processor system identifier c: dual apu; dual rpu e: quad apu; dual rpu; single gpu v engine type g: general purpose v: video
zynq ultrascale+ mpsoc overview ds891 (v1.3) september 23, 2016 www.xilinx.com advance product specification 41 revision history the following table shows the revision history for this document: disclaimer the information disclosed to you hereunder (the ?materials?) is provided solely for the selectio n and use of xilinx products. t o the maximum extent permitted by applicable law: (1) materials are ma de available ?as is? and with all faults, xilinx hereby disclai ms all warranties and conditions, express, implied, or statutory, including but no t limited to warranties of merchantability, non-infringement, or fitness for any partic ular purpose; and (2) xilinx shall not be liable (whether in contract or tort, including negligence, or under any other theory of liability) fo r any loss or damage of any kind or nature related to, arising under, or in connection with, th e materials (including your use of the ma terials), including for any direct, indire ct, special, incidental, or consequential loss or damage (including loss of data, profits, goodwill, or any type of loss or damage suffered as a result of any action brought by a third party) even if such damage or loss was reasonably foreseeable or xilinx h ad been advised of the possibility of the same . xilinx assumes no obligation to correct an y errors contained in the materials or t o notify you of updates to the mate rials or to product specifications. you may not reproduce, modify, distribute, or publicly dis play the materials without prior written consent. certain products are subject to the terms and conditio ns of xilinx?s limited warra nty, please refer to xilinx?s terms of sale which can be viewed at http://www.xilinx.com/legal.htm#tos ; ip cores may be subject to warranty and support terms contained in a licen se issued to you by xilinx. xilinx products are not designed or intended to be fail-safe or for use in any application requiring fail-safe perfor mance; you assume sole risk an d liability for use of xilinx p roducts in such critical applications, please refer to xilinx?s terms of sale which can be viewed at http://www.xilinx.com/ legal.htm#tos . this document contains preliminary informat ion and is subject to change without notic e. information provided herein relates to products and/or services not yet available for sale, and provided solely for information purposes and are not intended, or to b e construed, as an offer for sale or an attempted commercializ ation of the products and/or services referred to herein. automotive applications disclaimer automotive products (identified as ?xa? in the part number) are not warranted for use in the deployment of airbags or for use in applications th at affect control of a vehicle (?safety application?) unless there is a safety concept or redundancy feature consistent wi th the iso 26262 automotive safety standard (?safety design?). customer shall, prior to using or distribu ting any systems that inco rporate products, thoroughly test such systems for safety purposes. use of products in a safety application without a safety design is fully at the risk of customer, subject only to applicable laws and regulations governing limitations on product liability. date version description of revisions 09/23/2016 1.3 updated table 2 ; table 3 ; table 4 ; table 6 ; graphics processing unit (gpu) ; and nand onfi 3.1 flash controller . 06/03/2016 1.2 added cg devices: updated table 1 ; table 2 ; table 3 ; table 4 ; table 5 ; table 6 ; and table 12 . added video encoder/decoder (vcu) ; table 7 ; and power examples (removed xpe computed range table). updated: general description ; arm cortex-a53 based application processing unit (apu) ; zynq ultrascale+ mpsocs ; dynamic memory controller (ddrc) ; and figure 3 . 01/28/2016 1.1 updated table 1 and table 2 . 11/24/2015 1.0 initial xilinx release.


▲Up To Search▲   

 
Price & Availability of ZU15EG

All Rights Reserved © IC-ON-LINE 2003 - 2022  

[Add Bookmark] [Contact Us] [Link exchange] [Privacy policy]
Mirror Sites :  [www.datasheet.hk]   [www.maxim4u.com]  [www.ic-on-line.cn] [www.ic-on-line.com] [www.ic-on-line.net] [www.alldatasheet.com.cn] [www.gdcy.com]  [www.gdcy.net]


 . . . . .
  We use cookies to deliver the best possible web experience and assist with our advertising efforts. By continuing to use this site, you consent to the use of cookies. For more information on cookies, please take a look at our Privacy Policy. X